任意波形信号发生器

阅读: 评论:0

一、 题目要求及分析
1.1题目要求
变速盘任意波形信号发生器
利用FPGA器件产生控制信号及数据信号,经DAC0832TL082转换产生以下波形:
1) 正斜率斜波;
2) 装饰扣正弦波;
3) 锯齿波;
4) 任意波形。
用示波器观察输出波形。
硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。
软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。
混凝土模板1.2题目分析
VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。
在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化的设计,对功能的修改和增加,只要修改VHDL源程序,而不必更改硬件电路。实现数字系统硬件的软件化。
任意信号发生器体现在它能选择输出四个波形,即正斜率斜波、正弦波、锯齿波、任意波形;
还可以改变波形的某些表征参量,从而控制输出的波形。其主要问题是波形的选择和准备与输出的模拟信号波形相对应的数字信号,前者可以通过外接开关从而选择输出什么样的波形,后者可以通过建立相应波形的数字信号模块得到,然后建立一个信号,用来保存所采点的数据,最后把该信号送给ADC0832的输入数据端口就可以了。这两个主要问题当然在做的过程中还需要考虑到数据的选择、位宽的大小、管脚的取舍等问题。
二、 任意波形信号发生器方案设计
2.1系统设计ome 103框图与思路
1.系统的设计框图
利用电子设计自动化(EDA)技术设计的波形信号发生器,与传统的信号发生器相比,具有高稳定度、高精度、高分辨率的优点。该项目利用Alter 公司的可编程逻辑器件 EPF10K10,采用EDA 技术,实现可通过改变时钟频率来调制频率、幅值的多种常用信号。
根据设计要求,多波形信号发生器主要由5部分组成,即时钟信号、波形数据产生器、波形选择器、数/模转换电路、低通滤波电路。在时钟信号的作用下,由波形选择器选择要输出的波形,波形数据产生器生成频率可变的波形数据数字信号,经数/模转换电路、低通滤波电路,最终输出所需波形信号。另外,输出波形幅度的调节可通过改变A/D转换芯片电阻网络的基准电压实现。
系统的设计框图如下:
2.1  系统设计框图
函数发生器有波形选择开关控制波形的输出,分别能输出正斜率斜波、锯齿波、正弦波、任意波形,考虑程序的容量,每种波形在一个周期内均的取样点不能太多。
2.函数发生器的设计思路
本次课程设计采用FPGA作为中心控制逻辑,由于其具有高速和逻辑单元数多的特点,因此可以由FPGA、DAC和I/V运放直接构成信号源发生器的最小系统。在该方案中通过FPGA控制DAC并直接向DAC发送数据,这样就提高了所需波形的频率并绕过了通用存储器读取速度慢的特点,再加上外部的开关按钮就能够简单控制波形切换及改变波形的某些表征参量,能够通过编程实现波形的任意性、幅度变化的灵活性等功能。
本次课程设计采用自顶向下的设计方法进行设计,包含顶层文件的设计和底层文件的设计。顶层的设计是把下层各模块连接起来,采用文本输入的方式,通过元件例化的方法,调用各元件,实现函数发生器的设计。在顶层的设计中,clk,reset,ob,si,dl为系统的控制信号输入端,Q为数字信号的输出端口:clk为系统时钟信号输入端,reset为系统复位信号,ob,si,dl 是系统波形选择数码开关,通过改变开关的通断可以选择输出的波形;FPGA产生数字信号,并且由Q端口送入ADC0832的输入数据端口,这样数字信号经D/A转换器转换成为模拟信号。但是DAC0832C 以电流形式输出转换结果,若要得到电压形式的输出结果需另加I/V转换电路,这时可采用运算放大器,这里我们采用TLC082实现电流-
电压转换,即可转换成模拟电压信号,输出的波形经过低通滤波电路可以滤除毛刺及干扰信号,这样就可以得到较清晰的波形,可以通过示波器观察所得波形。底层设计建立基本的模块,实现相应的功能,便于元件例化,包含波形选择、正弦波、正斜率斜波、锯齿波、任意波形等模块: 波形选择模块外接开关,利用开关选择要输出的波形及产生相应的数字信号,以便于送入ADC0832的输入数据端口进行数据转换,从而输出所选择的波形;正弦波模块、正斜率斜波模块、锯齿波模块、任意波形模块分别产生与各自相对应的正弦波的数字信号、正斜率斜波的数字信号、锯齿波的数字信号、任意波形的数字信号等模块,各个波形模块所产生的数字信号送入波形选择器中,以便于波形选择器选择并输出数字信号。此外,信号的频率和幅值及其他表征参数可通过时钟(CLK)信号的改变来调节。
值得注意的是,波形数据的建立是整个程序设计中最重要的问题。FPGA输出的数字信号需要经D/A转换器转换成各种波形输出。而由D/A转换器可知,DAC0832的分辨率是8位,这样,将模拟信号的各种波行在一个周期内平均分成255份,由于已经确定每周期的取样点数为64,即每隔2π/64的间隔取值一次,所取的值为该点对应的波形的值,通过计算可以获得64个取样点的值;也可以通过查表的方法取得64个取样点的值。
3.顶层设计
其程序如下。
--------------开始------------------------------
library ieee;
use ieee.std_logic_1164.all;纤维素水解
use ieee.std_logic_unsigned.all;
------------------------------------------------
------------------------------------------------
entity s_gen is
port(clk ,reset: in std_logic;
    ob,si,dl: in std_logic;
    cs,wr:out std_logic;                         
        Q:out std_logic_vector(7 downto 0));     
end entity s_gen;
------------------------------------------------
------------------------------------------------
architecture behav of s_gen is
--------元件定义语句----------------------------
component obl is
内螺旋涡流金属分选机port(clk,reset:in std_logic;

本文发布于:2023-07-24 15:56:35,感谢您对本站的认可!

本文链接:https://patent.en369.cn/patent/4/190563.html

版权声明:本站内容均来自互联网,仅供演示用,请勿用于商业和其他非法用途。如果侵犯了您的权益请与我们联系,我们将在24小时内删除。

标签:波形   设计   输出   信号   系统
留言与评论(共有 0 条评论)
   
验证码:
Copyright ©2019-2022 Comsenz Inc.Powered by © 369专利查询检索平台 豫ICP备2021025688号-20 网站地图